Добавление testbench'ей на языке Verilog в проект Vivado — различия между версиями

Материал из SRNS
Перейти к: навигация, поиск
(Ссылки)
 
(не показаны 26 промежуточных версий 3 участников)
Строка 15: Строка 15:
 
* '''imitator_channel''', являющийся топ-модулем для одного канала имитатора и включающий в себя первый модуль.  
 
* '''imitator_channel''', являющийся топ-модулем для одного канала имитатора и включающий в себя первый модуль.  
  
 +
 +
[[file:20160404_KDPV.gif|thumb|right|400px]]
  
 
Наша конечная цель - файлы TB'ей и правильно написанный скрипт регенерации проекта, включающий раскладывание TB'ей по полочкам. Будем считать, что пользователь по-максимуму хочет использовать GUI и по-минимуму консоль и TCL. Тогда вырисовывается следующий workflow:
 
Наша конечная цель - файлы TB'ей и правильно написанный скрипт регенерации проекта, включающий раскладывание TB'ей по полочкам. Будем считать, что пользователь по-максимуму хочет использовать GUI и по-минимуму консоль и TCL. Тогда вырисовывается следующий workflow:
Строка 70: Строка 72:
 
=== Код TB'а ===
 
=== Код TB'а ===
  
Пришло время наполнить imichnl_synthesizer_tb смысловым содержанием. Общий сброс, после чего каждую эпоху PHASE_RATE увеличивается на 2000000:
+
Пришло время наполнить imichnl_synthesizer_tb смысловым содержанием. Общий сброс, после чего каждую эпоху PHASE_RATE увеличивается на 500:
 
{{Hider|title = imichnl_synthesizer_tb.v
 
{{Hider|title = imichnl_synthesizer_tb.v
 
  |content = <source lang="verilog">
 
  |content = <source lang="verilog">
`timescale 1ns / 1ps
+
`timescale 100ps / 1ps
  
 
module imichnl_synthesizer_tb();
 
module imichnl_synthesizer_tb();
Строка 116: Строка 118:
 
     end
 
     end
 
      
 
      
     always  
+
     always // 105.6 MHz
         #5 pclk = !pclk;
+
         #47 pclk = !pclk;
 
        
 
        
 
     event reset;
 
     event reset;
Строка 152: Строка 154:
 
         end
 
         end
 
     end     
 
     end     
 +
 +
    initial begin
 +
        forever begin
 +
            #10000500 -> epoch;
 +
        end
 +
    end
 +
   
 +
    initial begin
 +
        #2000000
 +
        forever begin
 +
            #10000000 -> fix;
 +
        end
 +
    end
  
 
     initial begin: TEST_CASE
 
     initial begin: TEST_CASE
 
         #10 -> reset;
 
         #10 -> reset;
         fork // Распараллеливание блоков
+
         forever begin
            forever begin
+
            #3000000
                #101 -> epoch;
+
            phase_rate = phase_rate + 500;
                phase_rate = phase_rate + 20000000;
+
             @ (epoch);
             end
+
        end
            #30
+
            forever begin
+
                #100 -> fix;
+
            end
+
        join
+
 
     end
 
     end
  
Строка 178: Строка 188:
  
 
Моделируем, настраиваем wave-форму
 
Моделируем, настраиваем wave-форму
[[file:20160404_vivado_revolution17.png|center]]
+
[[file:20160404_vivado_revolution20.png|center]]
  
 
Cохраняем настройки wave-формы в '''каталог tb''' через меню ''File->Save Waveform Configuration'', автоматом получая имя файла типа '''imichnl_synthesizer_tb_behav.wcfg'''
 
Cохраняем настройки wave-формы в '''каталог tb''' через меню ''File->Save Waveform Configuration'', автоматом получая имя файла типа '''imichnl_synthesizer_tb_behav.wcfg'''
 
  
 
== Добавление TB'а через скрипт регенерации проекта ==
 
== Добавление TB'а через скрипт регенерации проекта ==
Строка 217: Строка 226:
 
Как я получил этот код? Я просто выгрузил через ''File -> Write Project Tcl'' новый скрипт регенерации проекта и вычленил из него блок, отвечающий за наш новый файлсет.
 
Как я получил этот код? Я просто выгрузил через ''File -> Write Project Tcl'' новый скрипт регенерации проекта и вычленил из него блок, отвечающий за наш новый файлсет.
  
Ниже мы подробнее рассмотрим команды, используемые для регенерации TB'а.  
+
Ниже мы подробнее рассмотрим команды, используемые для регенерации TB'а. Сделаем мы это на примере второго TB'а, т.к. он немного сложнее.
  
 
== Добавляем второй TB ==
 
== Добавляем второй TB ==
Строка 462: Строка 471:
 
  |hidden = 1
 
  |hidden = 1
 
}}
 
}}
 +
 +
TB задает два типа тактовых сигналов - один процессора, другой от РЧБ. В бесконечном цикле генерируются импульс эпохи и импульс снятия измерений. В TEST CASE дается сигнал сброса, затем канал имитатора конфигурируется под сигнал ГЛОНАСС СТ.
 +
[[File:20160404_vivado_revolution19.png|center]]
  
  
Строка 572: Строка 584:
 
current_fileset -simset [ get_filesets sim_imitator_channel ]
 
current_fileset -simset [ get_filesets sim_imitator_channel ]
 
</source>
 
</source>
 
  
 
== Итоговый скрипт регенерации проекта ==
 
== Итоговый скрипт регенерации проекта ==
Строка 837: Строка 848:
 
== У другого пользователя ==
 
== У другого пользователя ==
  
Теперь дизайн, включая TB'и, будет храниться в системе контроля версий. При запуске скрипта регенерации будет разворачиваться у нового пользователя и будет готовым для моделирования.  
+
Теперь оба TB'а будут храниться в системе контроля версий. При запуске скрипта регенерации у нового пользователя они будут разворачиваться и настраиваться. Пользователю останется их только запустить.  
  
 
<source lang="bash">
 
<source lang="bash">
Строка 847: Строка 858:
 
== Ссылки ==
 
== Ссылки ==
  
[http://we.easyelectronics.ru/plis/testbench-na-verilog-dlya-novichkov.html Easyelectronics:TestBench на Verilog для новичков]
+
*[http://we.easyelectronics.ru/plis/testbench-na-verilog-dlya-novichkov.html Easyelectronics:TestBench на Verilog для новичков]
 +
*[http://www.xilinx.com/support/documentation/sw_manuals/xilinx2014_2/ug900-vivado-logic-simulation.pdf Xilinx UG900: Logic Simulation]
 +
*[http://www.xilinx.com/support/answers/64000.html AR64000: Understanding Waveform Database file (WDB) and Wave Configuration File (WCFG)]
 +
*[http://www.compitech.ru/html.cgi/arhiv/01_02/stat_86.htm Verilog — инструмент разработки цифровых электронных схем: О типах данных]
 +
*[http://www.compitech.ru/html.cgi/arhiv/01_03/stat_134.htm Verilog — инструмент разработки цифровых электронных схем: Операторы]
 +
*[http://www.compitech.ru/html.cgi/arhiv/01_04/stat_76.htm Verilog — инструмент разработки цифровых электронных схем: О моделируемых конструкциях]
 +
*[http://compitech.ru/html.cgi/arhiv/01_05/stat_66.htm Verilog — инструмент разработки цифровых электронных схем: О синтезируемых конструкциях]
 +
*[https://inst.eecs.berkeley.edu/~cs150/fa06/Labs/verilog-ieee.pdf Verilog-2001]
 +
*[http://www.uccs.edu/~gtumbush/published_papers/Tumbush%20DVCon%2005.pdf Signed Arithmetic in Verilog 2001 – Opportunities and Hazards]
  
 
[[Категория:HOWTO]]
 
[[Категория:HOWTO]]
 +
[[Категория:Git]]
 +
[[Категория:Xilinx]]
 +
[[Категория:Vivado]]
 +
[[Category:FPGA]]
 +
[[Category:Verilog]]

Текущая версия на 15:54, 9 ноября 2016

Содержание

Пусть у нас есть дизайн для Vivado, проект которого разворачивается в соответствии со статьей Vivado и Git. Это может быть как конечный дизайн, так и чей-то сабмодуль. Процедура добавления test bench'ей (далее TB) отличаться не будет, поэтому рассмотрим всё на примере сабмодуля imitator.


Задача - добавить TB'и для модулей дизайна, причем

  • они должны храниться в СКВ и быть доступны всем разработчикам,
  • имеются в виду TB'и на языке Verilog для симуляторов типа Vivado Simulator, ModelSim и т.д., а не тесты на языках Си или Matlab для Verilator'а.


Подопечные

Для конкретики, будем добавлять в дизайн imitator TB'и для двух модулей:

  • imichnl_synthesizer, отвечающий за фазу несущей,
  • imitator_channel, являющийся топ-модулем для одного канала имитатора и включающий в себя первый модуль.


20160404 KDPV.gif

Наша конечная цель - файлы TB'ей и правильно написанный скрипт регенерации проекта, включающий раскладывание TB'ей по полочкам. Будем считать, что пользователь по-максимуму хочет использовать GUI и по-минимуму консоль и TCL. Тогда вырисовывается следующий workflow:

  • средствами GUI создать новый набор файлов для моделирования (включающий код TB'а, тестируемые модули и т.п.),
  • через GUI настроить этот набор,
  • через GUI выгрузить код регенерации,
  • подправить существующий скрипт регенерации проекта так, чтобы TB'и разворачивались и настраивался вместе с проектом.


[править] Добавление TB через GUI

[править] Создание нового набора для симуляции

Создадим через GUI новый TB, а потом перенесем его в tcl-скрипт! Начнем с TB для модуля imichnl_synthesizer.

В Flow Navigator (это панель слева в Vivado) в разделе Simulation выбираем Simulation Settings

20160404 vivado revolution2.png

В открывшемся окне в разделе Simulation в графе Simulation top modulw name создаем новый файлсет, выбирая Create Simulation Set

20160404 vivado revolution3.png

ВНИМАНИЕ Не занимайте и не удаляйте файлсет sim_1. Vivado его очень любит и будет создавать заново, делая при этим активным. Лучше оставить sim_1 пустым.

Новому файлсету даем осмысленное название, например, sim_imichnl_synthesizer

20160404 vivado revolution7.png

Очищаем графу Simulation top module name, т.к. файл с кодом TB'а у нас ещё не создан.

На вкладке Advanced запрещаем включать в TB все файлы проекта, снимая галку с Include all design sources for simulation. Иначе он добавит все наши файлы в файлсет этого TB'а, что нам не нужно.

20160404 vivado revolution11.png

Закрываем окно, нажимая Ok. Vivado задает вопрос, сделать ли данный TB активным. Можно соглашаться. В итоге в Source проекта появился новый пустой файлсет для симуляции sim_imichnl_synthesizer

20160404 vivado revolution12.png

[править] Добавляем файлы в набор для симуляции

В контекстном меню файлсета sim_imichnl_synthesizer, выпадающем при нажатии правой кнопкой мыши, выбираем добавление новых файлов Add Sources

20160404 vivado revolution13.png

Далее Add or create simulation sources

20160404 vivado revolution4.png

Добавляем новый файл TB'а, нажимая кнопку Create File в открывшемся окне. Даем файлу осмысленное имя с суффиксом _tb, например, imichnl_synthesizer_tb.v и обязательно указываем в качестве пути каталог tb дизайна imitator. Иначе он будет создан в дебрях песочницы (в prj_imitator) и не будет виден системе контроля версий.

20160404 vivado revolution8.png20160404 vivado revolution9.png

С помощью кнопки Add Files добавляем уже существующие файлы, которые потребуются для работы тестируемого модуля. В данном случае это сам модуль imichnl_synthesizer из каталога verilog

20160404 vivado revolution10.png

После добавления требуемых файлов нажимаем кнопку Finish. Открывается окно Define module для нашего TB'а imichnl_synthesizer_tb.v. Порты нам добавлять не нужно, просто нажимаем Ok. Теперь у нас в файлсете sim_imichnl_synthesizer два файла - код исследуемого модуля и код TB'а.

20160404 vivado revolution14.png

Возвращаемся в настройки симуляции (Flow Navigator -> Simulation -> Simulation Settings) и указываем в качестве топового модуль imichnl_synthesizer_tb

20160404 vivado revolution15.png

[править] Код TB'а

Пришло время наполнить imichnl_synthesizer_tb смысловым содержанием. Общий сброс, после чего каждую эпоху PHASE_RATE увеличивается на 500:


[править] Моделирование

После того как TB написан, запускаем симуляцию через контекстное меню файлсета:

20160404 vivado revolution16.png

Моделируем, настраиваем wave-форму

20160404 vivado revolution20.png

Cохраняем настройки wave-формы в каталог tb через меню File->Save Waveform Configuration, автоматом получая имя файла типа imichnl_synthesizer_tb_behav.wcfg

[править] Добавление TB'а через скрипт регенерации проекта

Сейчас все настройки TB'а, т.е. файлсета sim_imichnl_synthesizer, хранятся в песочнице, которая у нас не находится под системой контроля версий. Нужно добавить соответствующий код в скрипт регенерации проекта (традиционно его место до объявления настроек синтеза synth_1)


Как я получил этот код? Я просто выгрузил через File -> Write Project Tcl новый скрипт регенерации проекта и вычленил из него блок, отвечающий за наш новый файлсет.

Ниже мы подробнее рассмотрим команды, используемые для регенерации TB'а. Сделаем мы это на примере второго TB'а, т.к. он немного сложнее.

[править] Добавляем второй TB

Проделываем аналогичные действия для второго TB'а, получаем набор для моделирования sim_imitator_channel, включающий, помимо прочего, imitator_channel_tb.v.


TB задает два типа тактовых сигналов - один процессора, другой от РЧБ. В бесконечном цикле генерируются импульс эпохи и импульс снятия измерений. В TEST CASE дается сигнал сброса, затем канал имитатора конфигурируется под сигнал ГЛОНАСС СТ.

20160404 vivado revolution19.png


А вот что мы добавляем в prj_imitator.tcl, чтобы этот набор для моделирования разворачивался вместе с проектом:


Обсудим команды, которые добавляются в скрипт. В первую очередь создается новый набор для симуляции, ему присваивается название sim_imitator_channel

if {[string equal [get_filesets -quiet sim_imitator_channel] ""]} {
  create_fileset -simset sim_imitator_channel
}


Далее в этот набор добавляются файлы:

set obj [get_filesets sim_imitator_channel]
set files [list \
 "[file normalize "$origin_dir/tb/imitator_channel_tb.v"]"\
 "[file normalize "$origin_dir/verilog/top/global_param.v"]"\
 "[file normalize "$origin_dir/verilog/imitator_channel.v"]"\
 "[file normalize "$origin_dir/verilog/imichnl_synthesizer.v"]"\
 "[file normalize "$origin_dir/verilog/imichnl_sin_table.v"]"\
 "[file normalize "$origin_dir/verilog/imichnl_param.v"]"\
 "[file normalize "$origin_dir/verilog/imichnl_regfile.v"]"\
 "[file normalize "$origin_dir/verilog/imichnl_cos_table.v"]"\
 "[file normalize "$origin_dir/verilog/imichnl_delay_reg.v"]"\
 "[file normalize "$origin_dir/$sub_dir/correlator/verilog/flag_sync.v"]"\
 "[file normalize "$origin_dir/$sub_dir/correlator/verilog/flag_sync_n.v"]"\
 "[file normalize "$origin_dir/$sub_dir/correlator/verilog/time_generator.v"]"\
 "[file normalize "$origin_dir/$sub_dir/correlator/verilog/channel_shift_reg.v"]"\
 "[file normalize "$origin_dir/$sub_dir/sync/verilog/level_sync.v"]"\
 "[file normalize "$origin_dir/$sub_dir/sync/verilog/signal_sync.v"]"\
 "[file normalize "$origin_dir/tb/imitator_channel_tb_behav.wcfg"]"\
]
add_files -norecurse -fileset $obj $files


Далее указываются директории, в которых будет производиться поиск файл, подключенных с помощью директивы `include:

set obj [get_filesets sim_imitator_channel]
set_property "include_dirs" "$origin_dir/verilog $origin_dir/verilog/top" $obj


Указывается топовый модуль для моделирования:

set_property "top" "imitator_channel_tb" $obj


Указывается топовый модуль для моделирования:

set_property "runtime" "3000000ns" $obj
set_property "xsim.simulate.runtime" "3000000ns" $obj


Указывается wave-форма:

set_property "xsim.view" "$origin_dir/tb/imitator_channel_tb_behav.wcfg" $obj


Также в скрипте регенерации мы можем указать, какой набор симуляции считать активным по-умолчанию:

current_fileset -simset [ get_filesets sim_imitator_channel ]

[править] Итоговый скрипт регенерации проекта

Итоговый скрипт регенерации проекта imitator будет выглядеть так:


Добавляем файл регенерации проекта prj_imitator.tcl и файлы в каталоге tb в коммит.

[править] У другого пользователя

Теперь оба TB'а будут храниться в системе контроля версий. При запуске скрипта регенерации у нового пользователя они будут разворачиваться и настраиваться. Пользователю останется их только запустить.

korogodin@Diod:~/Oryx/src/fpga/sub/imitator$ /opt/Xilinx/Vivado/2015.3/bin/vivado -source prj_imitator.tcl
20160404 vivado revolution18.png

[править] Ссылки

Персональные инструменты
Пространства имён

Варианты
Действия
SRNS Wiki
Рабочие журналы
Приватный файлсервер
QNAP Сервер
Инструменты