19.02.2016 Моделирование verilog

Материал из SRNS
Перейти к: навигация, поиск
(Более сложный пример с автоматизацией процессов)
(Более сложный пример с автоматизацией процессов)
Строка 194: Строка 194:
 
}}
 
}}
  
Автоматизация процессов будет осуществляться  
+
Автоматизация процессов будет осуществляться с помощью make.
 +
{{Hider|title = Makefile:
 +
|content = <source lang="make">
 +
INC=inc
 +
SRC=test.v my_adder.v
  
 +
.PHONY: compile
 +
 +
all: show
 +
 +
show: compile
 +
vsim -do show.tcl
 +
 +
batch: compile
 +
vsim -c -do batch.tcl
 +
 +
compile: tb
 +
if [ -f vlog.opt ]; then rm vlog.opt; fi
 +
for i in $(INC); do \
 +
echo "+incdir+$$i" >> vlog.opt; \
 +
done
 +
 +
if [ -f compile.tcl ]; then rm -f compile.tcl; fi
 +
for i in $(SRC); do \
 +
echo "vlog -reportprogress 300 -work tb $$i" >> compile.tcl; \
 +
done
 +
 +
tb:
 +
if [ ! -d tb ]; then \
 +
    vsim -c -do lib.tcl; \
 +
fi
 +
 +
clean:
 +
rm -Rf *.txt transcript vsim.wlf tb vlog.opt
 +
</source>
 +
|hidden = 1
 +
}}
 +
 +
Цель all стоит в Makefile первой, она будет выполнятся при запуске make. Можно ставить в зависимость show, тогда откроется окно modelsim с временными диаграммами, либо batch, тогда modelsim выполнит моделирование в командном режиме и результаты будут записаны в файлы cnt.txt и sum.txt.
 +
 +
Цель compile создаёт файл compile.tcl, используемый для компиляции файлов verilog. Цель tb создаёт библиотеку tb, в которой будет происходить моделирование. Цель clean очищает каталог от всех создаваемых при работе файлов.
 +
 +
При выполнении make show исполняется файл show.tcl. В этом файле перечислены сигналы, которые выводятся в окно wave и даётся команда run.
 +
{{Hider|title = show.tcl:
 +
|content = <source lang="tcl">
 +
do compile.tcl
 +
 +
vsim -novopt tb.tb
 +
add wave -position instertpoint \
 +
    sim:/tb/clk \
 +
    sim:/tb/resetn \
 +
    sim:/tb/cnt \
 +
    sim:/tb/out \
 +
    sim:/tb/adder/sum
 +
run 300ns
 +
</source>
 +
|hidden = 1
 +
}}
 +
 +
При выполнении make batch исполняется файл batch.tcl:
 +
{{Hider|title = batch.tcl:
 +
|content = <source lang="tcl">
 +
do compile.tcl
 +
 +
vsim -novopt tb.tb
 +
run 300ns
 +
quit -f
 +
</source>
 +
|hidden = 1
 +
}}
  
 
[[Category:FPGA]]
 
[[Category:FPGA]]

Версия 11:38, 4 ноября 2016

Итак, задача состоит в том, чтобы с помощью Modelsim провести моделирование кода Verilog.

Содержание

Создаём файл, который будем моделировать

`timescale 1ns/1ns

module tb();
   reg clk = 1'b0;

   always #5 clk=!clk;

   reg [4:0] cnt=5'b0;

   always @(posedge clk) begin
      cnt <= cnt+1;
   end
   
endmodule

Первая строка задаёт темп моделирования. Строка "always #5 clk=!clk;" задаёт тактовый сигнал - каждые 5 интервалов времени, указанных в timescale. Остальной код тривиален.

Создаём новую библиотеку. Пусть для примера она называется "tb":

20160219 questa newlib 1.png 20160219 questa newlib 2.png

Компилируем файл verilog.

20160219 questa compile.png 20160219 questa compile 2.png

Попутно указываем путь к подключаемым чере "`include" файлам, нажав кнопку "Default options":

20160316 MentorIncludeDIr.png

20160219 questa compile 3.png

Запускаем симуляцию - без оптимизации! (Simulate without optimization)

20160219 questa simulate 1.png

Добавляем требуемые сигналы в окно отображения Wave

20160219 questa simulate 2.png

Запускаем симуляцию - выбираем длительность и нажимаем кнопку запуска (справа от поля ввода длительности)

20160219 questa simulate 3.png

В окне Wave смотрим результаты

20160219 questa wave 1.png

А теперь всё то же самое - автоматически

Каждый раз делать всё это надоедает. Поэтому можно сделать всё из командного файла. Создаём файл "do.tcl"

# vlib tb
vlog -reportprogress 300 -work tb /tmp/Verilog/test.v
vsim -novopt tb.tb
add wave -position instertpoint \
    sim:/tb/clk \
    sim:/tb/cnt
run 100ns

Запускаем vsim командой:

vsim -do do.tcl

В результате выдаются временные диаграммы. Можно в коде тестбенча сделать запись результатов в файл, дать в конце скрипта команду exit, тогда временные диаграммы можно будет не смотреть.

Более сложный пример с автоматизацией процессов

Рассмотрим пример, в котором есть тестируемый модуль my_adder.v, тестбенч test.v и включаемый файл inc/params.v. Будет два режима отладки:

  • отладка с просмотром временных диаграмм в modelsim
  • обработка данных в Matlab с помощью файла test.m

Итак, исходники:

  • тестируемый модуль my_adder.v:
  • тесбенч test.v:
  • Файл параметров inc/params.v:
  • Тест Matlab test.m:


Автоматизация процессов будет осуществляться с помощью make.


Цель all стоит в Makefile первой, она будет выполнятся при запуске make. Можно ставить в зависимость show, тогда откроется окно modelsim с временными диаграммами, либо batch, тогда modelsim выполнит моделирование в командном режиме и результаты будут записаны в файлы cnt.txt и sum.txt.

Цель compile создаёт файл compile.tcl, используемый для компиляции файлов verilog. Цель tb создаёт библиотеку tb, в которой будет происходить моделирование. Цель clean очищает каталог от всех создаваемых при работе файлов.

При выполнении make show исполняется файл show.tcl. В этом файле перечислены сигналы, которые выводятся в окно wave и даётся команда run.


При выполнении make batch исполняется файл batch.tcl:

[ Хронологический вид ]Комментарии

(нет элементов)

Войдите, чтобы комментировать.

Персональные инструменты
Пространства имён

Варианты
Действия
SRNS Wiki
Рабочие журналы
Приватный файлсервер
QNAP Сервер
Инструменты